Como usar o Verilog HDL no Ubuntu?

8

Tentei iniciar um pequeno curso com alguns exemplos no Verilog Hdl e depois de passar muito tempo procurando o melhor simulador ou IDE para praticar com alguns exemplos, não consegui descobrir como usá-lo no Ubuntu 12.04

Então, eu tenho duas perguntas:

  1. Como posso usar o Verilog no Ubuntu 12.04?

  2. Qual é o melhor simulador (ou IDE) disponível para Linux?

amrro
fonte

Respostas:

3

Você pode baixar o simulador Linux Verilog do SynpatiCAD, que é compatível com o Ubuntu. Inclui um simulador de linha de comando e um IDE gráfico. Após a instalação, você pode executar a ferramenta e solicitar uma licença gratuita de 6 meses para o simulador.

Dan Notestein
fonte
5

Esta publicação ajuda: Verilog e VHDL no Linux (Ubuntu) ?

Ele recomenda o uso combinado de Icarus Verilog ( iverilognos repositórios) para simulação Verilog, GHDL para simulação VHDL e GTKWave ( gtkwavenos repositórios) para visualização de formas de onda.

Se você deseja um editor Verilog baseado em Eclipse, tente veditor .

Tom Regner
fonte
mas o Veditor não abre eu abri-lo com JDK6 e não respondem ou não há outra maneira para lançá-lo
amrro
Não é um produto independente, mas um plug-in do eclipse; instale o eclipse e siga as instruções aqui: sourceforge.net/apps/mediawiki/veditor/…
Tom Regner
ok eu quero outro favor ,, você poderia atualizar sua resposta como instalar Icarus Verilog ,, ican't instalá-lo
amrro
ghdl me dá esse erro ... O pacote ghdl não está disponível, mas é referido por outro pacote. Isso pode significar que o pacote está ausente, foi obsoleto ou está disponível apenas em outra fonte E: O pacote 'ghdl' não tem candidato à instalação
amrro 16/11/2012
Ah - encontrado mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html ; devido a problemas de compilação, o ghdl é descartado do debian ATM e, portanto, também no ubuntu a partir do 12.04; você pode querer tentar compilá-lo (e instalá-lo via checkinstall) - mas falando / escrevendo-lo através de que está além do que o meu tempo permite
Tom Regner
1

Você também pode usar os ambientes IDE para desenvolvimento de FPGA. Altera Quartus para Altera (Intel) FPGA ou Xilinx ISE para dispositivos Xilinx. Esses ambientes permitem escrever código-fonte VHDL e Verilog e incluir simuladores.

jotego
fonte
Pessoalmente, use o Quartus Prime, IDE bom o suficiente, embora, se você deseja fazer o download do código de verificação no FPGA real, é necessário editar as regras do udev para que o dispositivo seja reconhecido.
Sergiy Kolodyazhnyy
1
sudo apt-get install iverilog;

sudo apt-get install gtkwave;

Para ver se tudo está funcionando corretamente, vamos fazer o olá-mundo do verilog.

nano hello.v

em seguida, escreva o seguinte código hello-world

    module main;

initial
    begin
    $display("Hello world");
    $finish;
    end

endmodule

Então compile

iverilog hello.v -o hello

e finalmente execute seu código

vvp hello
user10842694
fonte
-1

Tente este comando em uma janela de terminal:

sudo apt-get install gplcver
operador linux
fonte
Isso não responde à pergunta. Por favor, elabore sua resposta. O que é o gplcver? Como usá-lo?
Eric Carvalho